Pascal and Francis Bibliographic Databases

Help

Search results

Your search

ct.\*:("Microelectronics: LSI, VLSI, ULSI; integrated circuit fabrication technology")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 782

  • Page / 32
Export

Selection :

  • and

Rapid thermal post-metallization annealing effect on thin gate oxidesJENG, M.-J; LIN, H.-S; HWU, J.-G et al.Applied surface science. 1996, Vol 92, Num 1-4, pp 208-211, issn 0169-4332Conference Paper

Effects of limited Cu supply on soldering reactions between SnAgCu and NiHO, C. E; LIN, Y. W; YANG, S. C et al.Journal of electronic materials. 2006, Vol 35, Num 5, pp 1017-1024, issn 0361-5235, 8 p.Article

Methods for thermal optimization of microchannel heat sinksSUNG JIN KIM.Heat transfer engineering. 2004, Vol 25, Num 1, pp 37-49, issn 0145-7632, 13 p.Article

Heterogeneous silicon integration by ultra-high vacuum wafer bondingKIM, M. J; CARPENTER, R. W.Journal of electronic materials. 2003, Vol 32, Num 8, pp 849-854, issn 0361-5235, 6 p.Conference Paper

The national Si-Soft projectCHANG, Chun-Yen; TRAPPEY, Charles V.Applied surface science. 2003, Vol 216, Num 1-4, pp 2-7, issn 0169-4332, 6 p.Conference Paper

Comparative study of double ion implant Ti-salicide and pre-amorphization implant Co-salicide for ultra-large-scale integration applicationsCHUANG, Hung-Ming; THEI, Kong-Beng; TSAI, Sheng-Fu et al.Semiconductor science and technology. 2002, Vol 17, Num 10, pp 1075-1080, issn 0268-1242Article

Improved morphological stability of CoSi2 layer by in situ growth on polycrystalline silicon using reactive chemical vapor depositionHEUI SEUNG LEE; HWA SUNG RHEE; BYUNG TAE AHN et al.Journal of the Electrochemical Society. 2002, Vol 149, Num 1, pp G16-G20, issn 0013-4651Article

Investigation of strain induced effects in silicon wafers due to proximity rapid thermal processing using micro-Raman spectroscopy and synchrotron x-ray topographyLOWNEY, D; PEROVA, T. S; NOLAN, M et al.Semiconductor science and technology. 2002, Vol 17, Num 10, pp 1081-1089, issn 0268-1242Article

Thermal stability of Pt- and Ni-based Schottky contacts on GaN and Al0.31Ga0.69NMONROY, E; CALLER, F; MOERMAN, I et al.Semiconductor science and technology. 2002, Vol 17, Num 9, pp L47-L54, issn 0268-1242Article

Progress in the methodologies for the electrical modeling of interconnects and electronic packagesRUEHLI, Albert E; CANGELLARIS, Andreas C.Proceedings of the IEEE. 2001, Vol 89, Num 5, pp 740-771, issn 0018-9219Article

Material and process limits in silicon VLSI technologyPLUMMER, James D; GRIFFIN, Peter B.Proceedings of the IEEE. 2001, Vol 89, Num 3, pp 240-258, issn 0018-9219Article

Emerging multigigahertz digital and mixed-signal integrated circuits targeted for military applications : Dependence on advanced electronic packaging to achieve full performanceGILBERT, Barry K; DEGERSTROM, Michael J; ZABINSKI, Patrick J et al.Proceedings of the IEEE. 2001, Vol 89, Num 4, pp 426-443, issn 0018-9219Article

Techniques for analysing nanotopography on polished silicon wafersMÜLLER, T; KUMPE, R; GERBER, H. A et al.Microelectronic engineering. 2001, Vol 56, Num 1-2, pp 123-127, issn 0167-9317Conference Paper

Transmission electron microscopic observation of oxygen precipitates in nitrogen-doped siliconLIBEN LI; DEREN YANG.Microelectronic engineering. 2001, Vol 56, Num 1-2, pp 205-208, issn 0167-9317Conference Paper

Self-formation of ultra small structures on vicinal Si substrates for nano-device arrayHANAJIRI, T; SUGANO, T.Journal of crystal growth. 2000, Vol 210, Num 1-3, pp 85-89, issn 0022-0248Conference Paper

From circuit miniaturization to the scalable ICMURPHY, B. T; HAGGAN, D. E; TROUTMAN, W. W et al.Proceedings of the IEEE. 2000, Vol 88, Num 5, pp 691-703, issn 0018-9219Article

Passive integration process on standard and high resistivity siliconKATTELUS, H; RONKAINEN, H; RIIHISAARI, T et al.The International journal of microcircuits and electronic packaging. 1999, Vol 22, Num 3, pp 254-261, issn 1063-1674Article

Investigation of stress effect on the via-crack of IMD structure in scrubber processingHUANG, D. F; YEH, R. S; LIN, T. Y et al.SPIE proceedings series. 1999, pp 377-384, isbn 0-8194-3493-0Conference Paper

Preparation and characterization of low-dimensional nanostructuresAUGUSTIN, L; CHI, L. F; FUCHS, H et al.Applied surface science. 1999, Vol 141, Num 3-4, pp 219-227, issn 0169-4332Conference Paper

Yield enhancement through photoresist characterization at the implant photo operationsMULLER, M.IEEE international symposium on semiconductor manufacturing conference. 1999, pp 395-398, isbn 0-7803-5403-6Conference Paper

Metal chalcogenide-organic nanostructured composites from self-assembled organic amine templatesNEERAJ; RAO, C. N. R.Journal of material chemistry. 1998, Vol 8, Num 2, pp 279-280, issn 0959-9428Article

Observations of a precursor for carbon nanotubes in the hard deposit obtained in dc arc experimentsYOSIDA, Y.Carbon (New York, NY). 1998, Vol 36, Num 4, pp 463-465, issn 0008-6223Article

Uniform quantum-dot arrays formed by natural self-faceting on patterned substratesNÖTZEL, R; ZHICHUAN NIU; RAMSTEINER, M et al.Nature (London). 1998, Vol 392, Num 6671, pp 56-59, issn 0028-0836Article

Synthetic routes to novel nanomaterialsTERRONES, M; HSU, W. K; HARE, J. P et al.Fullerene science and technology. 1997, Vol 5, Num 4, pp 813-827, issn 1064-122XArticle

Characterization of light emitting silicon nanopillars produced by lithography and etchingGRIGOROPOULOS, S; NASSIOPOULOS, A. G; TRAVLOS, A et al.Applied surface science. 1996, Vol 102, pp 377-380, issn 0169-4332Conference Paper

  • Page / 32